Difference between revisions of "FPGA"

From Teknologisk videncenter
Jump to: navigation, search
Line 1: Line 1:
 
*Teori  
 
*Teori  
 
*Ole vildledninger???
 
*Ole vildledninger???
**[[Media:Start_af_nyt_VHDL_projekt_i_Quartus_II.pdf|Quartus projekt start]] (Loads PDF file)
+
**[[Media:QuartusII_HurtigGuide-1-.pdf|QuartusII Quickguide]] (Loads PDF file)
 +
**[[Media:Start_af_nyt_Schematic_projekt_i_Quartus_II-1-.pdf|QuartusII Schematic projekt start]] (Loads PDF file)
 +
**[[Media:Start_af_nyt_VHDL_projekt_i_Quartus_II.pdf|Quartus VHDL projekt start]] (Loads PDF file)
 
**[[Media:Samlet_schmatic_%26_vhdl.pdf|Schamtic & VHDL]] (Loads PDF file)  
 
**[[Media:Samlet_schmatic_%26_vhdl.pdf|Schamtic & VHDL]] (Loads PDF file)  
 
**[[Media:VHDL.pdf|VHDL]] (Loads PDF file)  
 
**[[Media:VHDL.pdf|VHDL]] (Loads PDF file)  

Revision as of 10:20, 7 September 2010

I have one method of using a counter. The formula for calculating the counter is ( Actual Frequency / required frequency)

use that as the set point for your counter and make use of this code(This IS for 26MHz from 100MHz clock, so the Count value WilL be(100m/26M = 3.)

  • if (clock = '1' and clock'event ) then
  •      if ( count /= 4)
  •       count := count + 1 ;
  •       clock_out := clock_out ;
  •        else
  •         count := 0 ;
  •           clock_out := not clock_out ;
  •     end if;
  • end if ;

so for 13MHz the count value will be 7